Any Tool. Any Scale. Any Time.
Su | Mo | Tu | We | Th | Fr | Sa |
---|---|---|---|---|---|---|
Su | Mo | Tu | We | Th | Fr | Sa |
---|---|---|---|---|---|---|
Highlights Kilopass Technology's one-time programmable (OTP) non-volatile memory (NVM) IP has been integrated by more than 170 customers into more than 400 SoC designs, and shipped in more than 10...
Synopsys, Inc. (Nasdaq: SNPS) today announced that Aart de Geus, Chairman and Co-CEO, will speak at the 20th Annual Needham Growth Conference, in New York, on Jan. 17, 2018. This event will be...
Highlights: Synopsys' Custom Compiler has been integrated with Helic's RF device synthesis, EM parasitic extraction, and modeling software Integrated solution blends parasitics from Synopsys'...
Highlights: IC Validator delivers superior productivity for DRC and LVS signoff of mixed signal designs StarRC's ultra-scalable extraction solution provides 10X runtime advantage with superior...
Synopsys, Inc. (Nasdaq: SNPS) today announced it has been positioned as a leader in The Forrester Wave™: Static Application Security Testing, Q4 2017. The report provides an in-depth analysis...
Synopsys, Inc. (Nasdaq: SNPS) today announced the latest release of its LucidDrive® software product, which allows designers to simulate the performance of automotive headlamps when driving at...
Synopsys, Inc. (Nasdaq: SNPS) has completed its acquisition of Black Duck Software, Inc., a privately held leader in automated solutions for securing and managing open source software. Software...
Synopsys, Inc. (Nasdaq: SNPS) today announced that it has entered into accelerated share repurchase agreements (ASRs) with JPMorgan Chase Bank, National Association and MUFG Securities EMEA plc....
Synopsys, Inc. (Nasdaq: SNPS) today announced the results of a survey of 244 IT professionals that revealed customer-facing web and mobile applications present the highest security risk to...
Synopsys, Inc. (Nasdaq: SNPS) today announced that Trac Pham, chief financial officer, will speak at the Nasdaq 37th Investor Program, in London, on December 5, 2017. This event will be broadcast...
Highlights: Complete HDMI 2.1 IP solution with HDCP 2.2 content protection includes controllers, silicon-proven PHYs, verification IP, IP Prototyping Kit, and IP subsystem for fast integration...
Q4 2017 Financial Highlights Revenue: $696.6 million GAAP loss per share: $0.80 Non-GAAP earnings per share: $0.69 FY 2017 Financial Highlights Revenue: $2.725 billion GAAP earnings per share:...
Highlights: Support for configuring and running multiple optical simulations improves the efficiency of analyses for a range of automotive lighting functions, from high and low beams to signal...
Highlights: Inuitive selected the DesignWare EV6x Embedded Vision Processor for its high vector DSP and neural network performance in a minimal silicon footprint Combination of dual vector DSPs...
Synopsys, Inc. (Nasdaq: SNPS) and the French Alternative Energies and Atomic Energy Commission (CEA), a key player in technology research, today announced their new partnership based on Synopsys...
Synopsys, Inc. (Nasdaq: SNPS) today announced it will report results for the fourth quarter and fiscal year 2017 on Wednesday, Nov. 29, 2017, after the market close. A conference call to review...
Synopsys, Inc. (Nasdaq: SNPS) and Black Duck Software, Inc. have signed a definitive agreement for Synopsys to acquire privately held Black Duck, a leader in automated solutions for securing and...
Highlights: DesignWare Cryptography Software Library includes a suite of widely used encryption and certificate processing functions required for embedded applications Secure functions that passed...
MOUNTAIN VIEW, Calif., Oct. 31, 2017 Highlights Index-based repair capabilities in STAR Memory System cut memory repair cycles from more than 1000 to fewer than 100 cycles by testing only faulty...
Highlights: TetraMAX II ATPG reduced test generation runtime by an order of magnitude, from an overnight run to less than one hour, while producing 50 percent fewer patterns DecaWave met their...
Synopsys, Inc. (Nasdaq: SNPS) today released the 2017 Coverity® Scan Report, which examines Open Source Software (OSS) quality and security data collected over the past decade through Coverity...
Highlights Validated solution, including DesignWare STAR Memory System, DesignWare STAR Hierarchical System, DFTMAX LogicBIST software qualification kit, and ARC HS processor, ensures high test...
Highlights: All Synopsys test platform products can be confidently used for functionally safe automotive systems Test platform tools, tool qualification report and IP certification accelerate ISO...
Synopsys, Inc. (Nasdaq: SNPS) today announced that George Bayz, vice president of Synopsys' Optical Solutions Group, has been elected as a Fellow Member of The Optical Society (OSA). The OSA...
Highlights: Synopsys will enhance its state-of-the-art EDA tool flow to support ultra-low temperature superconducting technology Increasing the scale, efficiency, and quality of Superconducting...
Synopsys, Inc. (NASDAQ: SNPS) today announced that SiFive, the first fabless provider of customized, open-source-enabled semiconductors, has selected the Synopsys Verification Continuum™...
Synopsys, Inc. (Nasdaq: SNPS), today announced the extension of its multiyear Center of Excellence (CoE) program with NXP and availability of Virtualizer™ Development Kits (VDKs) for NXP S32...
Highlights Sidense's one-time programmable (OTP) non-volatile memory (NVM) IP offers a robust standard CMOS implementation, small footprint, low power, and broad foundry support Sidense's OTP NVM...
Highlights: S-Matrix/PDK Generation Utility for efficient, multi-level photonic integrated circuit design and analysis Increased simulation speeds of silicon photonics components by 100x or more...
Synopsys, Inc. (Nasdaq: SNPS) today announced it will host the annual BSIMM Community Conference in Scottsdale, Arizona on October 9-11. The event will gather more than 200 representatives from...
Synopsys, Inc. (NASDAQ: SNPS) today announced the availability of the industry's first verification IP (VIP) and UVM source code test suite to support the latest USB 3.2 specification. USB 3.2...
Synopsys, Inc. (Nasdaq: SNPS) today announced it will present a workshop with customer Schneider Electric focusing on "Building Security In" at the Les Assises conference in Monaco on October 12....
Highlights: Samsung Foundry's 28FDS process is ideal for IoT, mobile and automotive applications Comprehensive certification includes digital, custom and SPICE tools of the Synopsys Design...
Highlights: DesignWare Interface IP portfolio for GLOBALFOUNDRIES' 22FDX® process includes USB 3.1/3.0/2.0, USB-C 3.1/DisplayPort, PCI Express® 3.1/2.0 and HDMI 2.0 TX PHYs DesignWare Data...
Highlights: Certified IC Compiler II, IC Validator, PrimeTime, and StarRC tools for implementation and signoff of 22FDX designs Based on silicon-proven RTL-to-GDS 22FDX Foundry Reference Flow,...
Synopsys, Inc. (Nasdaq: SNPS) today released BSIMM8, the latest version of a leading software security maturity model, which is based on real-world data and helps organizations plan, execute, and...
Synopsys, Inc. (Nasdaq: SNPS) today announced that John Steven, senior director at the Synopsys Software Integrity Group, will deliver a keynote at AppSec USA 2017 in Orlando, Florida on September 21.
Highlights: Pre-verified DesignWare ARC Secure IP Subsystem provides a trusted hardware and software SoC environment that protects against malicious attacks targeting mobile, IoT and automotive...
Highlights: Designers can confidently implement their 22FFL-based ICs with the Intel® Custom Foundry certified digital and custom tools from the Synopsys Design Platform The comprehensive digital...
Highlights: QuantumWise solution reduces time and cost by enabling earlier co-optimization of materials, processes, devices, and circuits for 5nm and beyond Rigorous modeling of materials provides...
Highlights: DesignWare ARC IoT Development Kit includes the necessary hardware and software to speed software development and debugging of ARC EM processor-based designs Silicon-proven hardware...
Highlights: The IoT platform based on Synopsys' ARC Data Fusion IP Subsystem and interface IP, implemented by Brite's expert design services for SMIC's 55-nm ultra-low power process accelerates...
Highlights: TSMC selects Synopsys as its "Partner of the Year" for interface IP and tool enablement for the 7th consecutive year TSMC has certified Synopsys' digital and custom implementation...
Synopsys, Inc. (Nasdaq: SNPS) today announced that it has entered into an accelerated share repurchase agreement (ASR) with HSBC, to repurchase an aggregate of $100 million of Synopsys stock. The...
Highlights: Alango's Voice Enhancement Package (VEP) offers multi-microphone beamforming array and echo cancellation technologies to enhance speech recognition performance in voice-controlled...
Highlights: DesignWare Logic Libraries offer power optimization kits, multi-bit flip-flops and ultra-low leakage standard cells to achieve lowest power with near threshold operation High-density...
Synopsys, Inc. (Nasdaq: SNPS) today announced it will showcase its Software Integrity Platform at GovernmentWare 2017 (GovWare) in Singapore from September 19 to 21, 2017. GovWare is one of the...
Highlights: Synopsys' successful tape-outs of DesignWare Interface PHY IP for TSMC's 7-nm process include USB 3.1/2.0, DisplayPort 1.4, PCI Express 4.0/3.1, DDR4, MIPI D-PHY, Ethernet and SATA 6G,...
Highlights: Design Compiler Graphical and IC Compiler II place-and-route validated on multiple 7-nm FinFET Plus high-performance production designs PrimeTime and StarRC advanced variation modeling...
Highlights: Synopsys Design Platform is certified for TSMC's innovative 12-nm process technology with customer validation on multiple production tape-outs PDK availability for the Custom Compiler...